当前位置: 首页 > news >正文

私人订制网站推荐智慧门店管理系统app

私人订制网站推荐,智慧门店管理系统app,2018网站设计报价表,wordpress对seo使用 CLOCK_LOW_FANOUT 约束 您可以使用 CLOCK_LOW_FANOUT 约束在单个时钟区域中包含时钟缓存负载。在由全局时钟缓存直接驱动的时钟网段 上对 CLOCK_LOW_FANOUT 进行设置,而且全局时钟缓存扇出必须低于 2000 个负载。 注释: 当与其他时钟约束配合…
使用 CLOCK_LOW_FANOUT 约束
您可以使用 CLOCK_LOW_FANOUT 约束在单个时钟区域中包含时钟缓存负载。在由全局时钟缓存直接驱动的时钟网段
上对 CLOCK_LOW_FANOUT 进行设置,而且全局时钟缓存扇出必须低于 2000 个负载。
注释: 当与其他时钟约束配合使用时, CLOCK_LOW_FANOUT 的优先级较低。如果 CLOCK_LOW_FANOUT 与诸如
USER_CLOCK_ROOT CLOCK_DELAY_GROUP CLOCK_DEDICATED_ROUTE 等其他时钟约束发生冲突时,那么将不会
遵从 CLOCK_LOW_FANOUT 约束。
下面的示例所示的 CLOCK_LOW_FANOUT 约束主要用于驱动负载不超过 2000 的时钟网络并将其纳入单个时钟区域。输
入时钟端口 clkIn 不仅针对位于 CLOCK_REGION X2Y0 GCIO 提供 PACKAGE_PIN 分配,而且还可驱动 PLLE3_ADV
PLLE3_ADV 可驱动全局时钟缓存,其接下来可驱动带有 1379 个负载的时钟网络。全局时钟缓存的负载均位于
CLOCK_REGION X2Y0
# PACKAGE_PIN AF9 - IOBank 64 - CLOCK_REGION X2Y0
set_property PACKAGE_PIN AF9 [get_ports clkIn]
set_property IOSTANDARD LVCMOS18 [get_ports clkIn]
set_property CLOCK_LOW_FANOUT TRUE [get_nets -of [get_pins clkOut0_bufg_inst/O]]
http://www.hyszgw.com/news/40386.html

相关文章:

  • wordpress搭建教育网站华亭县建设局网站2017
  • 网站流量盈利网站建设ftp
  • 没有网站怎么做排名优化无锡建设建设局网站
  • 网站范例北京网站设计与网站制作
  • 韩国封号事件网站建设python做网站点登入没反映
  • 东莞网站建设公司好seo免费优化软件
  • 网站做装修效果图Wordpress 模块wordkey
  • 网站优化推广费用建筑公司企业宣传册
  • 做简单网站游戏推广平台怎么赚钱
  • 手机设置管理网站首页台州建设局网站信息价
  • 侨联 文化宣传 侨联网站建设软件合集大全
  • 做个网站费用多少中国建设银行阆中分行网站
  • 外贸电子网站建设找网站公司制作网站
  • 学网站建设的软件网推一手单渠道
  • 肥乡专业做网站建设网站工作室
  • 建设网站过程学校网站建设机构
  • 国外大气的网站建造师报考条件
  • 平阴网站建设郑州网站改版升级
  • 建立自己的网站专门做特卖的网站
  • 百度云平台建设网站二级域名iis建立网站
  • 响应式网站建设资讯织梦网站建设后优化步骤
  • 嘉兴做网站费用类似于wordpress的
  • 建网站最低需要多少钱网站建设与维护方式是什么
  • 网站建设比赛方案生猪价格
  • 深圳手机端网站建设专业在线代理软件
  • 平台类网站做多久经纪公司排名
  • 网站建设整改实施方案做网站需要学那几个软件
  • 网站优化方案和实施成都网站建设 今网科技
  • 建设银行暑期招聘网站shopify做全品类网站
  • 免费行情软件app网站mnw直浙江振升建设有限公司网站